当前学科:EDA技术
  • 题目: 单选
    在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0to127,下面哪个赋值语句是正确的。()。

      A . idata:=32
      B . idata<=16#A0#
      C . idata<=16#7#E1
      D . idata:=B#1010#

    答案: <查看本题扣1积分>

    查看答案

    答案不对?请尝试站内搜索


推荐知识点: