当前学科:EDA技术
  • 题目: 单选
    在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。()。

      A . idata<=“00001111”
      B . idata<=b”0000_1111”
      C . idata<=X”AB”
      D . idata<=B”21”

    答案: <查看本题扣1积分>

    查看答案

    答案不对?请尝试站内搜索